Auto Byte

专注未来出行及智能汽车科技

微信扫一扫获取更多资讯

Science AI

关注人工智能与其他前沿技术、基础学科的交叉研究与融合发展

微信扫一扫获取更多资讯

张健作者

群雄逐鹿光刻圈

近期,半导体界掀起了一阵小小的光刻潮,原因就是浸润式光刻技术的开创者林本坚博士获得了2018年未来科学大奖-数学与计算机科学奖,并于近日进行了多次主题演讲,使半导体光刻这项高端而又略显神秘的技术形象地展示在了业界人士面前,也使得越来越多的人对它产生了兴趣,希望能够进一步了解和研讨。

这里,首先了解一下光刻技术及其发展状况。

光刻流程

光刻(photolithography)就是将掩模版(光刻板)上的几何图形转移到覆盖在半导体衬底表面的、对光辐射敏感的薄膜材料(光刻胶)上的过程。在半导体制造技术中,最为关键的是用于电路图形生成和复制的光刻技术,芯片在生产过程中需要进行 20~30 次的光刻。光刻技术在整个IC制造过程中是最重要的一环,其成本占据了整体制造成本的35%。

一般的光刻工艺要经历以下几个流程:底膜处理→涂胶→前烘→曝光→显影检验→刻蚀→去胶→最终检测等。

图:光刻工艺流程

不同的曝光方式,对分辨率和掩膜版的损伤各有不同。对于接触式光刻,涂有光刻胶的硅片与掩膜版直接接触,因此分辨率较高,但在接触和对准时,硅片上的灰尘会对掩膜版造成损伤;对于接近式光刻,由于掩膜版和光刻胶之间有间隙,会产生衍射,降低了分辨率;投影式光刻利用透镜或反射镜将掩膜版上的图形投影到衬底,可以完全避免对掩膜版的损伤。

光刻技术发展路径

随着林本坚博士开创了浸润式光刻技术(Immersion Lithograpy),2002年之后,该技术迅速成为光刻界的新宠。在传统光刻技术中,镜头与光刻胶之间的介质是空气,而所谓浸润式光刻,就是将空气换成液体,利用光通过液体介质后波长缩短来提高分辨率。尤其是 ArF(193nm)浸润式光刻机的出现,使得半导体制程从90nm一直发展至14nm。

随着制程发展到10nm,多重曝光(Multi-patterning,MP)使IC制造厂得以在现有光刻技术的基础上继续提高光刻分辨率。光刻机分辨率W如下图所示,为了提高分辨率,光刻机会尽量减小k1,增大数值孔径NA,并选用更短波长。在 IC 设计不妥协的情况下,k1最小为0.6左右,在EDA软件的分辨率增强技术(RET)及光学临近效应修正技术(OPC)辅助下,k1值最小可降至0.2左右,对应制程节点大约30nm。如进行更小尺寸光刻,OPC修正图案会重叠,解决方法是将图形分为两个独立的光罩,即采用多重图案曝光。采用多重图案化技术后, k1值可以降至0.2以下,以进一步提高光刻分辨率。

下面看一下光刻机的情况。

光刻机种类

按照应用划分,光刻机有好几种,本文只讨论用于集成电路制造的。

光刻机是芯片制造的核心设备之一,在所有设备中,光刻机占比最高(35%),其次是刻蚀设备(20%),PVD(15%),CVD(10%),量测设备(10%),离子注入设备(5%)等。

按照曝光方式,光刻机可分为直写式、接近接触式和投影式光刻三种。直写式由于曝光场太小,通常用于制作掩模板;接近接触式是指光刻胶与掩模板接触或略有缝隙,应用较广;投影式是指在掩膜板与光刻胶之间使用光学系统聚集光实现曝光,以进一步提高分辨率。

此外,经过数十年的演进,还发展出了分步重复光刻机、步进扫描光刻机、极紫外光刻机(EUV)等。

光源是光刻机的核心部件,其由最初的G线(436nm)、H线(405nm)和I线(365nm),向KrF(248nm)、ArF(193nm)方向演变。目前,市场上主流产品为ArF光刻机,包括步进扫描投影光刻机、 浸没式步进扫描投影光刻机(ArFi),而EUV光刻机于2010年由ASML推出。

国际光刻设备市场

根据Technavio研究报告预测,2017年光刻机市场为88亿美元,同比增长13%。预计到2020年,市场规模将达到115亿美元,复合年增长率CAGR为9.18%。

目前,全球光刻机市场主要由荷兰的ASML、日本尼康和佳能三家把持,其中,ASML占据了80%的市场份额,并垄断了高端光刻机市场。2017年全球光刻机出货294 台,其中ASML出货198台,占比67%,高端光刻机EUV全球出货11台,全部由ASML供应,主流机型ArFi光刻机ASML出货76台,占比超过93%。

佳能2017年出货70台,均属于中低端机型。尼康出货26台,市场份额仅为9%,影响力较小。

在EUV设备制造过程中,由于EUV波长仅13.5nm,没有合适介质进行精准折射,因而所有光路设计均采用反射的形式,设计更加复杂,对精度要求极高,制造难度极大。

ASML最新的EUV光刻机NXE 3400B能支持7nm和5nm芯片的批量生产,使用13.5nm波长的EUV光源,光学系统的数值孔径(NA)为 0.33,分辨率为13nm,而尼康最新的ArF Immersion NSR-S631E浸润式光刻机落后EUV一代,使用139nm波长的ArF准分子激光,NA为1.35,分辨率最高38nm。

从价位上看,NXE 3400B和3350B的销售单价超过1亿美元,ArF Immersion售价大约在7000 万美元左右,而尼康光刻机的单价只有EUV光刻机的三分之一。

目前,光刻机下游市场需求旺盛,但光刻系统市场供给远远不能满足需求,很重要的原因在于上游原材料/部件精度不符合要求,除了来自蔡司的镜头的供应不足之外,还有设备上的芯片保护膜仍需要改进。

中国本土供给状况

可以说,光刻机是中国本土在半导体设备制造上的短板,目前国内光刻机设备商较少,在技术上与国外还存在较大差距,且大多以激光成像技术为主。国内IC制造厂所需的高端光刻机完全依赖进口。

国内研发和生产用于IC制造的光刻机厂商主要有上海微电子,生产光刻机投影物镜的有长春国科精密光学技术有限公司,还有中国电子科技集团公司第四十五研究所和第四十八研究所,以及中国科学院光电技术研究所等。

目前,国内光刻机龙头企业上海微电子的先进设备,如SSA600/20步进扫描光刻机,只能满足前道IC制造90nm关键层和非关键层的需求。该公司SMEE 600系列IC前道投影光刻机兼容200mm和300mm硅片。不过,该公司用于后道工序的封装光刻机在国内市占率高达80%,全球市占率也可达到40%。

中国电子科技集团公司第四十五研究所则是国内专门从事电子元器件关键工艺设备技术研发和生产制造的国家重点科研生产单位,其主要芯片制造设备有光刻机和探针台,其中 BG-406 系列光刻机支持6英寸单/双面曝光,TZ-803A自动探针测试台兼容5~8英寸晶圆。

摩尔定律遭遇挑战

当CMOS集成电路制程工艺节点到达7nm时,由于已接近原子级,工艺技术开始遭遇挑战,主要有:新的器件结构、紫外/纳米光刻设备门槛、材料互连接近极限(电子迁移与互扰效应)等。

光刻方面,一方面由于IC制造过程中使用的光刻技术相对于摩尔定律显得相对滞后,虽然IC业界寄予厚望的光刻设备也在紧锣密鼓的研发中,但受限于技术成熟度,先进设备尚不能达到量产水平,这使IC制造在制程节点不断微缩的情况下,成本呈现指数增长。

另外,2018年苹果A12、高通骁龙8150、三星Exynos 9820和华为Kirin 980等处理器陆续推出,制程节点发展到7nm量产阶段,已经非常接近FinFET制程的物理极限5nm,这对光刻技术提出了更高的要求。

7nm开始呼唤EUV

当制程节点发展到7nm时,193nm浸润式光刻开始力不从心,其单步曝光工艺(NA=1.35)的K1可以缩减到0.3,能够实现32nm的分辨率。而通过两次图形化工艺和分辨率增强技术, K1可以进一步缩小至0.15,此时,分辨率接近22nm。和单次曝光相比,Multiple Patterning可以实现更高水平的制程。但使用多重曝光会带来两大问题:一、光刻+掩膜的成本上升,且影响良率;二、工艺的循环周期延长,多重曝光不仅增加光刻次数,还增加了刻蚀和机械研磨次数。

目前来看,使用浸润式和多重曝光的193nm ArF光刻机可以将工艺节点微缩至7nm,但是光刻次数也到达了3~4 次,生产十分困难。

在这样的情况下,业界一直在呼唤EUV,EUV可以有效降低成本,延续摩尔定律。随着芯片制程节点不断微缩,原有的193nm ArF激光已不再适用。当大波长的激光用来制造7nm芯片时,会增加制造过程的复杂性和成本,而EUV则可以用更短的时间和更简便的方法实现,相较于ArF激光多次曝光,EUV一次曝光就可以刻出相同的图形,从而大大缩减了芯片制造步骤。根据ASML统计,相比于ArFi光刻,EUV可以使5nm制程的成本降低30%,良率由50%提升至80%。

此外,EUV在分步投影光刻技术的基础上,只采用波长更短的远紫外线作为曝光光源,通过准分子激光光刻出细线条,它还可以通过激光/电子束将电路设计图写在光掩模版上。

在7nm节点出现了两种技术发展路径,包括采用193nm波长+SAQP(四重图案化)达到所需的分辨率,也可采用EUV+单次图案化,英特尔所选择的是前一种技术路线,而台积电和三星则选择了后一种技术路线。

以后的5nm节点,193i光刻技术难度更大,采用EUV双重图案化是较为理想的选择。

EUV的应用进展

三星和台积电都在2018年开始采用7nm制程工艺,目前,台积电已经实现量产。台积电规划2019年7nm+制程将开始导入EUV,2020年5nm工艺将正式全面导入并使用EUV。随着EUV在先进制程应用上的普及,预计光刻机市场将会有更快速地增长。

据悉,台积电7nm相较于10nm节点实现了20%的速度提升或功耗降低40%,晶体管密度增加1.6倍,相比之下,采用EUV的7nm+节点将带来20%的晶体管密度提升、10%功率减少,但没有性能提升。

今年8月,用于7nm+节点的EDA工具认证已经就绪,台积电预计2019年4月开始生产EUV版7nm+产品,未来的5nm节点相较于非EUV的7nm节点,预计晶体管密度会提升1.8倍,功率降低20%,速度提升15%,或在极低阈值电压下,速度提升25%,台积电的目标是在2020年实现5nm量产。

预计2019年量产的台积电7nm+EUV、三星7nm、英特尔10nm的各项参数基本相近,主要区别在于:台积电和三星采用EUV,而英特尔10nm节点一再推迟:后段采用多重四图案曝光(SAQP)良率较低可能是主要原因。尽管英特尔在10nm节点的特征尺寸等同于台积电7nm的,但未采用EUV光刻技术,而是继续采用波长193nm的深紫外结合多重曝光进行光刻。

实际上,英特尔很早就开始了10nm项目,原计划2016年量产,当时EUV尚未成熟,故英特尔选择了SAQP的技术路径,但在研发过程中遭遇困难,导致10nm一再推迟。

横向对比台积电、三星和英特尔这三家厂商前后段曝光技术,会发现前段工艺基本相似,鳍片形成均采用了SAQP,栅极形成均采用了多重双图案曝光,contact层形成采用了LE(光照-刻蚀)、LELE、LELELE的方法,三星采用了EUV 方法。

而在后段金属层形成方面,三家厂商技术路线有明显区别:台积电7nm采用多重双图案曝光,三星采用EUV方法,而英特尔选择了多重四图案曝光的方法。后段M1金属层较SADP大幅增加了难度,同时英特尔还在Contact层和M1金属层首次采用了金属Co。在此方法下,最小金属间距可以达到36nm,等同于三星采用EUV方法达到的效果,并明显小于台积电 SADP方法达到的40nm。也就是说,英特尔运用后段的SAQP方法,实现了同 EUV一样的效果,但良率仅能达到50%~60%,不能满足量产要求,导致英特尔10nm一再推迟。

据悉,2018年,台积电7nm制程芯片量产超过50种,包括CPU、GPU、AI加速芯片、矿机ASIC、网络、游戏、汽车芯片等。

从目前的情况来看,2019年台积电将率先量产EUV版制程IC,保持先发优势。

结语

关于摩尔定律的发展现状及前景,有人悲观,有人乐观,各有各的说法和道理,而光刻技术的发展路径似乎与摩尔定律有些相似,到了真正需要攻坚克难的时候了。半导体制造是一门大而难的学问,而光刻可以说是半导体制造的先锋,其发展必将持续被关注。

半导体行业观察
半导体行业观察

最有深度的半导体新媒体,实时、专业、原创、深度,30万半导体精英关注!专注观察全球半导体最新资讯、技术前沿、发展趋势。

产业摩尔定律光刻技术英特尔
2
相关数据
英特尔机构

英特尔(NASDAQ: INTC)是全球半导体行业的引领者,以计算和通信技术奠定全球创新基石,塑造以数据为中心的未来。我们通过精尖制造的专长,帮助保护、驱动和连接数十亿设备以及智能互联世界的基础设施 —— 从云、网络到边缘设备以及它们之间的一切,并帮助解决世界上最艰巨的问题和挑战。

http://www.intel.cn/
相关技术
参数技术

在数学和统计学裡,参数(英语:parameter)是使用通用变量来建立函数和变量之间关系(当这种关系很难用方程来阐述时)的一个数量。

规划技术

人工智能领域的「规划」通常是指智能体执行的任务/动作的自动规划和调度,其目的是进行资源的优化。常见的规划方法包括经典规划(Classical Planning)、分层任务网络(HTN)和 logistics 规划。

摩尔定律技术

摩尔定律是由英特尔创始人之一戈登·摩尔提出来的。其内容为:积体电路上可容纳的电晶体数目,约每隔两年便会增加一倍;经常被引用的“18个月”,是由英特尔首席执行官大卫·豪斯所说:预计18个月会将芯片的性能提高一倍。

暂无评论
暂无评论~